Publications

2024


STDF: Spatio-Temporal Deformable Fusion for Video Quality Enhancement on Embedded Platforms

Jianing Deng, Shunjie Dong, Lvcheng Chen, Jingtong Hu, Chen Zhuo

ACM Transactions on Embedded Computing Systems (TECS), Jan. 2024

 

SSR: Spatial Sequential Hybrid Architecture for Latency Throughput Tradeoff Design Space Exploration

Jinming Zhuang, Zhuoping Yang, Hanchen Ye, Shixin Ji, Heng Huang, Alex Jones, Jingtong Hu, Yiyu Shi, Peipei Zhou

The 32nd ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA 2024), Monterey, CA, Feb. 2024

 

SPIRAL: Signal-Power Integrity Co-Analysis for High-Speed Inter-Chiplet Serial Links Validation (Best Paper Award)

Xiao Dong, Songyu Sun, Yangfan Jiang, Jingtong Hu, Dawei Gao, Cheng Zhuo

The 29th Asia and South Pacific Design Automation Conference (ASP-DAC 2024), Incheon Songdo Convensia, South Korea, Jan. 2024

 

2023


Automation of Ultrasonographic Optic Nerve Sheath Diameter Measurement Using Convolutional Neural Networks

Mohammad I Hirzallah, Supratik Bose, Jingtong Hu, Jonathan S Maltz

Journal of Neuroimaging, Sept. 2023.

 

The importance of resource awareness in artificial intelligence for healthcare

Zhenge Jia, Jianxu Chen, Xiaowei Xu, John Kheir, Jingtong Hu, Han Xiao, Sui Peng, Xiaobo Sharon Hu, Danny Chen, Yiyu Shi

Nature Machine Intelligence, June 2023.

 

Self-supervised On-device Federated Learning from Unlabeled Streams

Jiahe Shi, Yawen Wu, Dewen Zeng, Jun Tao, Jingtong Hu, Yiyu Shi

IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems (TCAD), May 2023.

 

REFRESH FPGAs: Sustainable FPGA Chiplet Architectures (Best Paper Runner Up)

Peipei Zhou, Jinming Zhuang, Stephen Cahoon, Yue Tang, Zhuoping Yang, Xingzhen Chen, Yiyu Shi, Jingtong Hu, Alex Jones

The 14th International Green and Sustainable Computing Conference (IGSC 2023) , Toronto, Canada, Oct. 2023

 

Additional Positive Enables Better Representation Learning for Medical Images

Dewen Zeng, Yawen Wu, Xinrong Hu, Xiaowei Xu, Jingtong Hu, Yiyu Shi

Proc. The 25th Medical Image Computing and Computer Assisted Interventions (MICCAI 2023), Vancouver, CANADA, Oct. 2023.

 

Muffin: A Framework Toward Multi-Dimension AI Fairness by Uniting Off-the-Shelf Models

Yi Sheng, Junhuan Yang, Lei Yang, Yiyu Shi, Jingtong Hu, Weiwen Jiang

Proc. The 60th IEEE/ACM Design Automation Conference (DAC 2023) , San Francisco, July 2023.

 

Synthetic Data Can Also Teach: Synthesizing Effective Data for Unsupervised Visual Representation Learning

Yawen Wu, Zhepeng Wang, Dewen Zeng, Yiyu Shi, Jingtong Hu

Thirty-Seventh AAAI Conference on Artificial Intelligence (AAAI), Feb. 2023.

 

CHARM: Composing Heterogeneous Accelerators for Matrix Multiply on Versal ACAP Architecture

Jinming Zhuang, Jason Lau, Hanchen Ye, Zhuoping Yang, Yubo Du, Jack Lo, Kristof Denolf, Stephen Neuendorffer, Alex Jones, Jingtong Hu, Deming Chen, Jason Cong, Peipei Zhou

The 31st ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA 2023), Monterey, CA, Feb. 2023

 

Quantization Through Search: A Novel Scheme to Quantize Convolutional Neural Networks in Finite Weight Space

Qing Lu, Weiwen Jiang, Xiaowei Xu, Jingtong Hu, Yiyu Shi

The 28th Asia and South Pacific Design Automation Conference (ASP-DAC 2023), Tokyo Odaiba Miraikan, Japan,Jan. 2023.

 

2022


Low-power Object-detection Challenge on Unmanned Aerial Vehicles

Zhenge Jia, Xiaowei Xu, Jingtong Hu, Yiyu Shi

Nature Machine Intelligence, November 2022.

 

Sustainable AI Processing at the Edge

Sebastien Ollivier, Sheng Li, Yue Tang, Chayanika Chaudhuri, Peipei Zhou, Xulong Tang, Jingtong Hu, Alex K. Jones

IEEE Micro SI: Environmentally Sustainable Computing, Oct. 2022.

 

Reliable and Efficient Parallel Checkpointing Framework for Nonvolatile Processor with Concurrent Peripherals

Tongda Wu, Kaisheng Ma, Jingtong Hu, Chun Jason Xue, Jinyang Li, Xin Shi, Huazhong Yang, Yongpan Liu

IEEE Transactions on Circuits and Systems I (TCAS-I), Sept. 2022.

 

Distributed Contrastive Learning for Medical Image Segmentation

Yawen Wu, Dewen Zeng, Zhepeng Wang, Yiyu Shi, Jingtong Hu

Medical Image Analysis (MIA), Aug. 2022.

 

POD-RACING: Bulk-Bitwise to Floating Point Compute In Racetrack Memory for Machine Learning at the Edge

Sebastien Ollivier, Xinyi Zhang, Yue Tang, Chayanika Chaudhuri, Jingtong Hu, Alex K. Jones

IEEE Micro SI: Artificial Intelligence at the Edge, July 2022.

 

Toward Comprehensive Shifting Fault Tolerance for Domain-Wall Memories with PIETT

Sebastien Ollivier, Stephen Longofono, Prayash Dutta, Jingtong Hu, Sanjukta Bhanja, Alex K. Jones

IEEE Transactions on Computers (TC), June 2022.

 

Energy-Aware Adaptive Multi-Exit Neural Network Inference Implementation for a Millimeter-Scale Sensing System

Yuyang Li, Yawen Wu, Xincheng Zhang, Jingtong Hu, Inhee Lee

IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), April 2022.

 

Personalized Neural Network for Patient-Specific Health Monitoring in IoT: A Meta-Learning Approach

Zhenge Jia, Yiyu Shi, Jingtong Hu

IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems (TCAD), March 2022.

 

Enabling Weakly-Supervised Temporal Action Localization from On-Device Learning of the Video Stream

Yue Tang, Yawen Wu, Peipei Zhou, Jingtong Hu

International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) in conjunction with (ESWEEK), Shanghai, China, Oct. 7-14, 2022.

Also appears as part of the ESWEEK-TCAD Special Issue, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD).

 

CORUSCANT: Fast Efficient Processing-in-Racetrack Memories

Sebastien Ollivier, Stephen Longofono, Prayash Dutta, Jingtong Hu, Sanjukta Bhanja, Alex K. Jones

55th IEEE/ACM International Symposium on Microarchitecture (MICRO 2022), Chicago, Illinois, October 1–5, 2022.

 

FairPrune: Achieving Fairness Through Pruning for Dermatological Disease Diagnosis

Yawen Wu, Dewen Zeng, Xiaowei Xu, Yiyu Shi, Jingtong Hu

Proc. The 25th Medical Image Computing and Computer Assisted Interventions (MICCAI 2022), Singapore, Sept. 2021.

 

Decentralized Unsupervised Learning of Visual Representations

Yawen Wu, Zhepeng Wang, Dewen Zeng, Meng Li, Yiyu Shi, Jingtong Hu

Proc. The 31th International Joint Conference on Artificial Intelligence (IJCAI-ECAI 2022), Messe Wien, Vienna, Austria, July 23-29, 2022

 

H2H: Heterogeneous Model to Heterogeneous System Mapping with Computation and Communication Awareness

Xinyi Zhang, Cong Hao, Peipei Zhou, Alex Jones, Jingtong Hu

Proc. The 59th IEEE/ACM Design Automation Conference (DAC 2022) , San Francisco, July 2022.

 

The Larger The Fairer? Small Neural Networks Can Achieve Fairness for Edge Devices

Yi Sheng, Junhuan Yang, Yawen Wu, Kevin Mao, Yiyu Shi, Jingtong Hu, Weiwen Jiang, Lei Yang

Proc. The 59th IEEE/ACM Design Automation Conference (DAC 2022) , San Francisco, July 2022.

 

Opportunistic Communication with Latency Guarantees for Intermittently-Powered Devices

Kacper Wardega, Wenchao Li, Hyoseung Kim, Yawen Wu, Zhenge Jia, Jingtong Hu

Proc. The ACM/IEEE Design, Automation and Test in Europe (DATE 2022) , ANTWERP, BELGIUM, March 2022.

 

2021


EF-Train: Enable Efficient On-device CNN Training on FPGA Through Data Reshaping for Online Adaptation or Personalization

Yue Tang, Xinyi Zhang, Peipei Zhou, Jingtong Hu,

ACM Transactions on Design Automation of Electronic Systems (TODAES), Dec. 2021.

 

Implementation of Multi-Exit Neural-Network Inferences for an Image-Based Sensing System with Energy Harvesting

Yuyang Li, Yuxin Gao, Minghe Shao, Joseph T. Tonecha, Yawen Wu, Jingtong Hu, Inhee Lee

Journal of Low Power Electronics and Applications (JLPEA), Sept. 2021.

 

Cooperative Communication Between Two Transiently Powered Sensor Nodes by Reinforcement Learning

Yawen Wu, Zhenge Jia, Fei Fang, Jingtong Hu

IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems (TCAD), Jan. 2021.

 

Federated Contrastive Learning for Dermatological Disease Diagnosis via On-device Learning

Yawen Wu, Dewen Zeng, Zhepeng Wang, Yi Sheng, Lei Yang, Alaina James, Yiyu Shi, Jingtong Hu

Proc. IEEE/ACM International Conference On Computer-Aided Design (ICCAD) , Nov. 2021.

 

Algorithm-Hardware Co-design of Attention Mechanism on FPGA Devices

Xinyi Zhang, Yawen Wu, Peipei Zhou, Xulong Tang, Jingtong Hu

Proc. International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) in conjunction with (ESWEEK), Virtual, Oct. 2021.

Also appears as part of the ESWEEK-TECS Special Issue, ACM Transactions on Embedded Computing Systems (ACM TECS).

 

On-Device Prior Knowledge Incorporated Learning for Personalized Atrial Fibrillation Detection

Zhenge Jia, Yiyu Shi, Samir Saba, Jingtong Hu

Proc. International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES) in conjunction with (ESWEEK), Virtual, Oct. 2021.

Also appears as part of the ESWEEK-TECS Special Issue, ACM Transactions on Embedded Computing Systems (ACM TECS).

 

Positional Contrastive Learning for Volumetric Medical Image Segmentation

Dewen Zeng, Yawen Wu, Xinrong Hu, Xiaowei Xu, Haiyun Yuan, Meiping Huang, Jian Zhuang, Jingtong Hu, Yiyu Shi

Proc. The 24th Medical Image Computing and Computer Assisted Interventions (MICCAI 2021), Virtual, Sept. 2021.

 

Federated Contrastive Learning for Volumetric Medical Image Segmentation

Yawen Wu, Dewen Zeng, Zhepeng Wang, Yiyu Shi, Jingtong Hu

Proc. The 24th Medical Image Computing and Computer Assisted Interventions (MICCAI 2021), Virtual, Sept. 2021.

 

Learning to Learn Personalized Neural Network for Ventricular Arrhythmias Detection on Intracardiac EGMs

Zhenge Jia, Zhepeng Wang, Feng Hong, Lichuan Ping, Yiyu Shi, Jingtong Hu

Proc. The 30th International Joint Conference on Artificial Intelligence (IJCAI-21), Virtual, August 2021.

 

Hardware-aware Real-time Myocardial Segmentation Quality Control in Contrast Echocardiography

Dewen Zeng, Yukun Ding, Xiaowei Xu, Haiyun Yuan, Hongwen Fei, Meiping Huang, Jian Zhuang, Jingtong Hu, Yiyu Shi

Proc. The 58th IEEE/ACM Design Automation Conference (DAC 2021) , Virtual, July 2021.

 

Enabling On-Device Self-supervised Contrastive Learning With Selective Data Contrast

Yawen Wu, Zhepeng Wang, Dewen Zeng, Yiyu Shi, Jingtong Hu

Proc. The 58th IEEE/ACM Design Automation Conference (DAC 2021) , Virtual, July 2021.

 

Enabling On-Device Model Personalization for Ventricular Arrhythmias Detection by Generative Adversarial Networks

Zhenge Jia, Feng Hong, Lichuan Ping, Yiyu Shi, Jingtong Hu

Proc. The 58th IEEE/ACM Design Automation Conference (DAC 2021) , Virtual, July 2021.

 

Developing a Miniature Energy-Harvesting-Powered Edge Device with Multi-Exit Neural Network

Yuyang Li, Yawen Wu, Xincheng Zhang, Ehab Hamed, Jingtong Hu, Inhee Lee,

Proc. IEEE Int'l Symposium on Circuits & Systems (ISCAS 2021), Virtual, May 22-28, 2021.

 

Lightweight Run-Time Working Memory Compression for Deployment of Deep Neural Networks on Resource-Constrained MCUs

Zhepeng Wang, Yawen Wu, Zhenge Jia, Yiyu Shi, Jingtong Hu

The 26th Asia and South Pacific Design Automation Conference (ASP-DAC 2021), Virtual, Jan. 2021.

 

2020


Social-Driven Propagation of Active Learning and Associated Scholarship Activity in Engineering: A Case Study

Renee M. Clark, Samuel Dickerson, Mostafa Bedewy, Kevin Chen, Ahmed Dallal, Andres Gomez, Jingtong Hu, Robert Kerestes, Louis Luangkesorn

International Journal of Engineering Education, 36(5), 1667-1680, April 2020

 

Applying Multiple Level Cell to Non-volatile FPGAs

Ke Liu, Mengying Zhao, Lei Ju, Zhiping Jia, Jingtong Hu, Jason Xue

ACM Transactions on Embedded Computing Systems (TECS), May 2020

 

Device-Circuit-Architecture Co-Exploration for Computing-in-Memory Neural Accelerators

Weiwen Jiang, Qiuwen Lou, Zheyu Yan, Lei Yang, Jingtong Hu, X. Sharon Hu, Yiyu Shi

IEEE Transactions on Computers (TC), April 2020

 

Hardware/Software Co-Exploration of Neural Architectures (Donald O. Pederson Best Paper Award)

Weiwen Jiang, Lei Yang, Edwin Sha, Qingfeng Zhuge, Shouzhen Gu, Sakyasingha Dasgupta , Yiyu Shi, Jingtong Hu

IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems (TCAD), March 2020.

 

Communication-Aware Task Scheduling for Energy-Harvesting Nonvolatile Processors

Yan Wang, Jinhui Liu, Jingtong Hu

IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), March 2020.

 

Towards Cardiac Intervention Assistance: Hardware-aware Neural Architecture Exploration for Real-Time 3D Cardiac Cine MRI Segmentation

Dewen Zeng, Weiwen Jiang, Tianchen Wang, Xiaowei Xu, Haiyun Yuan, Meiping Huang, Jian Zhuang, Jingtong Hu, Yiyu Shi

Proc. IEEE/ACM International Conference On Computer-Aided Design (ICCAD) , Nov. 2020.

 

Personalized Deep Learning for Ventricular Arrhythmias Detection on Medical IoT Systems

Zhenge Jia, Zhepeng Wang, Feng Hong, Lichuan Ping, Yiyu Shi, Jingtong Hu

Proc. IEEE/ACM International Conference On Computer-Aided Design (ICCAD) , Nov. 2020.

 

Achieving Full Parallelism in LSTM via a Unified Accelerator Design

Xinyi Zhang, Weiwen Jiang, Jingtong Hu

Proc. The 38th IEEE International Conference on Computer Design (ICCD 2020) , Hartford, CT, Oct. 18 - 21, 2020.

 

Standing on the Shoulders of Giants: Hardware and Neural Architecture Co-Search with Hot Start

Weiwen Jiang, Lei Yang, Sakyasingha Dasgupta , Jingtong Hu, Yiyu Shi,

International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) in conjunction with (ESWEEK), Oct. 2020.

Also appears as part of the ESWEEK-TCAD Special Issue, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD).

 

Enabling On-Device CNN Training by Self-Supervised Instance Filtering and Error Map Pruning

Yawen Wu, Zhepeng Wang, Yiyu Shi, Jingtong Hu

International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES) in conjunction with (ESWEEK), Oct. 2020.

Also appears as part of the ESWEEK-TCAD Special Issue, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD).

 

Intermittent Inference with Non-uniformly Compressed Multi-Exit Neural Network for Energy Harvesting Powered Devices [Video] [Slides] [PDF]

Yawen Wu, Zhepeng Wang, Zhenge Jia, Yiyu Shi, Jingtong Hu

Proc. The 57th IEEE/ACM Design Automation Conference (DAC 2020) , San Franciso, CA, USA, July 2020.

 

Co-Exploring Neural Architecture and Network-on-Chip Design for Real-Time Artificial Intelligence (Best Paper Award Nomination)

Lei Yang, Weiwen Jiang, Weichen Liu, Edwin Sha, Yiyu Shi, Jingtong Hu

The 25th Asia and South Pacific Design Automation Conference (ASP-DAC 2020), Beijing, China, Jan. 2020.

 

2019


DAC-SDC Low Power Object Detection Challenge for UAV Applications

Xiaowei Xu, Xinyi Zhang, Bei Yu, Sharon Hu, Christopher Rowen, Jingtong Hu, Yiyu Shi

IEEE Transactions on Pattern Analysis and Machine Intelligence (TPAMI), July 2019.

 

Modeling and Optimization for Self-Power Non-Volatile IoT Edge Devices with Ultra-Low Harvesting Power

Chen Pan, Mimi Xie, Song Han, Zhi-Hong Mao, Jingtong Hu

ACM Transactions on Cyber-Physical Systems (TCPS), April 2019.

 

A Novel STT-RAM-based Hybrid Cache for Intermittently Powered Processors in IoT Devices

Mimi Xie, Chen Pan, Yongpan Liu, Youtao Zhang, Chun Jason Xue, Jingtong Hu

IEEE Micro, Volume: 39 , Issue: 1, Jan. 2019.

 

On Neural Architecture Search for Resource-Constrained Hardware Platforms (Invited paper)

Qing Lu, Weiwen Jiang, Jingtong Hu, Yiyu Shi

Proc. IEEE/ACM International Conference On Computer-Aided Design (ICCAD) , Westminster, CO, Nov. 2019.

 

Achieving Super-Linear Speedup across Multi-FPGA for Real-Time DNN Inference (Best Paper Award Nomination)

Weiwen Jiang, Edwin H.-M. Sha, Xinyi Zhang, Lei Yang, Qingfeng Zhuge, Yiyu Shi, Jingtong Hu

Proc. International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS 2019) , NYC, New York, USA, Oct. 2019.

Also appears as part of the ESWEEK-TECS Special Issue, ACM Transactions on Embedded Computing Systems (ACM TECS).

 

When Neural Architecture Search Meets Hardware Implementation: from Hardware Awareness to Co-Design (Invited paper)

Xinyi Zhang, Weiwen Jiang, Yiyu Shi, Jingtong Hu

Proc. IEEE Computer Society Annual Symposium on VLSI (ISVLSI) , Miami, Florida, USA, Aug. 2019.

 

Accuracy vs. Efficiency: Achieving Both through FPGA-Implementation Aware Neural Architecture Search (Best Paper Award Nomination)

Weiwen Jiang, Xinyi Zhang, Edwin H.-M. Sha, Lei Yang, Qingfeng Zhuge, Yiyu Shi, Jingtong Hu

Proc. The 56th IEEE/ACM Design Automation Conference (DAC 2019) , Las Vegas, Nevada, USA, June 2019.

 

2018


Real-Time Data Retrieval in Cyber-Physical Systems with Temporal Validity and Data Availability Constraints

Chenchen Fu, Qiangqiang Liu, Peng Wu, Minming Li, Chun Jason Xue, Yingchao Zhao, Jingtong Hu, Song Han,

IEEE Transactions on Knowledge and Data Engineering (TKDE), Aug. 2018.

 

Securing Emerging Non-volatile Main Memory with Fast and Energy-Efficient AES In-Memory Implementation

Mimi Xie, Shuangchen Li, Alvin Glova, Jingtong Hu, Yuan Xie

IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), July 2018.

 

On the Design of Time-Constrained and Buffer-Optimal Self-Timed Pipelines

Weiwen Jiang, Edwin Sha, Qingfeng Zhuge, Lei Yang, Xianzhang Chen, Jingtong Hu

IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems (TCAD), May 2018.

 

PATH: Performance-Aware Task Scheduling for Energy Harvesting Nonvolatile Processors

Jinyang Li, Yongpan Liu, Hehe Li, Zhe Yuan, Chenchen Fu, Jinshan Yue, Chun Jason Xue, Jingtong Hu, Huazhong Yang

IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), Mar. 2018.

 

Write Energy Reduction for PCM via Pumping Efficiency Improvement

Huizhang Luo, Liang Shi, Jingtong Hu, Qingfeng Zhuge, Edwin H.-M. Sha

ACM Transactions on Storage (TOS), Mar. 2018.

 

Avoiding Data Inconsistency in Energy Harvesting Powered Embedded Systems

Mimi Xie, Chen Pan, Mengying Zhao, Yongpan Liu, Chun Jason Xue, Jingtong Hu,

ACM Transactions on Design Automation of Electronic Systems (TODAES), Jan. 2018.

 

ENZYME: An Energy Efficient Transient Computing Paradigm for Ultra-Low Self-Powered IoT Edge Devices

Chen Pan, Mimi Xie, Jingtong Hu

International Conference on Embedded Software (EMSOFT) in conjunction with (ESWEEK), Torino, Italy, Oct. 2018.

Also appears as part of the ESWEEK-TCAD Special Issue, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD).

 

Heterogeneous FPGA-based Cost-Optimal Design for Timing-Constrained CNNs

Weiwen Jiang, Edwin H.-M. Sha, Qingfeng Zhuge, Lei Yang, Xianzhang Chen, Jingtong Hu

International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES) in conjunction with (ESWEEK), Torino, Italy, Oct. 2018.

Also appears as part of the ESWEEK-TCAD Special Issue, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD).

 

NVM-based FPGA Block RAM with Adaptive SLC-MLC Conversion

Xiaojin Sui, Lei Ju, Mengying Zhao, Jason Xue, Jingtong Hu, Zhiping Jia

International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) in conjunction with (ESWEEK), Torino, Italy, Oct. 2018.

Also appears as part of the ESWEEK-TCAD Special Issue, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD).

 

Prototyping Energy Harvesting Powered Systems with Nonvolatile Processor

Yawen Wu, Yinan Sun, Zhenge Jia, Lefang Zhang, Yongpan Liu, Jingtong Hu

The International Symposium on Rapid System Prototyping (RSP) in conjunction with (ESWEEK), Torino, Italy, Oct. 2018.

 

Low Overhead Online Checkpoint for Intermittently Powered Non-volatile FPGAs

Xinyi Zhang, Clay Patterson, Yongpan Liu, Chengmo Yang, Jason Xue, Jingtong Hu

The International Symposium on VLSI (ISVLSI), Hong Kong, Aug. 2018.

 

An Area and Energy Efficient Design of Domain-Wall Memory-Based Deep Convolutional Neural Networks using Stochastic Computing (Best Paper Award Nomination)

Xiaolong Ma, Yipeng Zhang, Geng Yuan, Ao Ren, Zhe Li, Jie Han, Jingtong Hu, Yanzhi Wang

The 19th International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, March 2018.

 

AIM: Fast and Energy-Efficient AES In-Memory Implementation for Emerging Non-volatile Main Memory

Mimi Xie, Shuangchen Li, Alvin Glova, Jingtong Hu, Yuangang Wang, Yuan Xie

Proc. The ACM/IEEE Design, Automation and Test in Europe (DATE 2018) , Dresden, Germany, March 2018.

 

2017


Checkpointing-aware Loop Tiling for Energy Harvesting Powered Non-Volatile Processors

Fuyang Li, Keni Qiu, Yong Guan, Mengying Zhao, Jingtong Hu, Yongpan Liu, Chun Jason Xue, Huazhong Yang

IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems (TCAD), Dec. 2017.

 

CP-FPGA:Energy Efficient Nonvolatile FPGA with Offline/Online Checkpointing Optimization

Zhe Yuan, Yongpan Liu, Jinyang Li, Jingtong Hu, Chun Jason Xue, Huazhong Yang

IEEE Transactions on Very Large Scale Integration (VLSI) Systems, March 2017

 

Exploiting Multiple Write Modes of Non-volatile Main Memory in Embedded Systems

Chen Pan, Mimi Xie, Chengmo Yang, Yiran Chen, Jingtong Hu

ACM Transactions on Embedded Computing Systems (TECS), Feb. 2017

 

Stack-size Sensitive On-chip Memory Backup for Self-powered Non-volatile Processors

Mengying Zhao, Chenchen Fu, Zewei Li, Qingan Li, Yongpan Liu, Jingtong Hu, Zhiping Jia, Chun Jason Xue

IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems (TCAD), Jan. 2017.

 

CNN-based Pattern Recognition on Nonvolatile IoT Platform for Smart Ultraviolet Monitoring

Jinyang Li, Qingwei Guo, Fang Su, Zhe Yuan, Jinshan Yue, Jingtong Hu, Huazhong Yang, Yongpan Liu

Proc. The 36th International Conference on Computer Aided Design (ICCAD 2017) , Irvine, CA, November 13-16, 2017.

 

Design Exploration for Multiple Level Cell based Non-volatile FPGAs

Ke Liu, Mengying Zhao, Lei Ju, Zhiping Jia, Jason Xue, Jingtong Hu

Proc. The 35th IEEE International Conference on Computer Design (ICCD 2017) , Boston, MA, November 5 - 8, 2017.

 

Maximize Energy Utilization for Ultra-Low Energy Harvesting Powered Embedded Systems

Chen Pan, Mimi Xie, Jingtong Hu

Proc. The 23rd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2017), Hsinchu, Taiwan, Aug. 2017.

 

A Lightweight Progress Maximization Scheduler for Non-Volatile Processor Under Unstable Energy Harvesting

Chen Pan, Mimi Xie, Yongpan Liu, Yanzhi Wang, Jason Xue, Yiran Chen, Jingtong Hu

Proc. The 18th ACM SIGPLAN/SIGBED Conference on Languages, Compilers, Tools and Theory for Embedded Systems (LCTES 2017) , Barcelona, Spain, June 2017.

 

Age-aware Logic and Memory Co-Placement for RRAM-FPGAs (Best Paper Award Nomination)

Yuan Xue, Chengmo Yang, Jingtong Hu

Proc. The 54th IEEE/ACM Design Automation Conference (DAC 2017) , Austin, Texas, USA, June 2017.

 

2016


FlexLevel NAND Flash Storage System Design to Reduce LDPC Latency

Jie Guo, Wujie Wen, Jingtong Hu, Danghui Wang, Hai Li, Yiran Chen,

IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems (TCAD), Oct. 2016.

 

vFlash:Virtualized Flash for Optimizing the I/O Performance in Mobile Devices

Renhai Chen, Yi Wang, Jingtong Hu, Duo Liu, Zili Shao, Yong Guan,

IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems (TCAD), Sept. 2016.

 

Image-Content-Aware I/O Optimization for Mobile Virtualization

Renhai Chen, Yi Wang, Jingtong Hu, Duo Liu, Zili Shao, Yong Guan,

ACM Transactions on Embedded Computing Systems (TECS), May 2016

 

State Asymmetry Driven State Remapping in Phase Change Memory

Mengying Zhao, Yuan Xue, Jingtong Hu, Chengmo Yang, Tiantian Liu, Zhiping Jia, Chun Jason Xue

IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems (TCAD), Mar. 2016.

 

A Time, Energy, and Area Efficient Domain Wall Memory based SPM for Embedded Systems

Shouzhen Gu, Edwin H.-M. Sha, Qingfeng Zhuge, Yiran Chen, Jingtong Hu

IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems (TCAD), Mar. 2016.

 

Wear-Leveling Aware Page Management for Non-Volatile Main Memory on Embedded Systems

Chen Pan, Shouzhen Gu, Mimi Xie, Yongpan Liu, Chun Jason Xue, Jingtong Hu

IEEE Transactions on Multi-Scale Computing Systems (TMSCS), Jan. 2016

 

Checkpoint Aware Hybrid Cache Architecture for NV Processor in Energy Harvesting Powered Systems

Mimi Xie, Mengying Zhao, Chen Pan, Hehe Li, Yongpan Liu, Youtao Zhang, Chun Jason Xue, Jingtong Hu

International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) in conjunction with (ESWEEK), Pittsburgh, PA, Oct. 2016.

 

Dynamic Converter Reconfiguration for In-door Energy Harvesting-Based Near-Threshold Non-Volatile Processors

Caiwen Ding, Hongjia Li, Jingtong Hu, Yongpan Liu, Yanzhi Wang

Proc. The 34th IEEE International Conference on Computer Design (ICCD 2016) , Phoenix, Arizona, USA, Oct. 2016.

 

Two-Step State Transition Minimization for Lifetime and Performance Improvement on MLC STTRAM

Huizhang Luo, Jingtong Hu, Liang Shi, Chun Jason Xue, Qingfeng Zhuge

Proc. The 53rd IEEE/ACM Design Automation Conference (DAC 2016) , Austin, Texas, USA, June 2016.

 

Performance-Aware Task Scheduling for Energy Harvesting Nonvolatile Processors Considering Power Switching Overheads

Hehe Li, Yongpan Liu, Chenchen Fu, Chun Jason Xue, Donglai Xiang, Jinshan Yue, Jinyang Li, Daming Zhang, Jingtong Hu, Huazhong Yang

Proc. The 53rd IEEE/ACM Design Automation Conference (DAC 2016) , Austin, Texas, USA, June 2016.

 

TEMP: Thread Batch Enabled Memory Partitioning for GPU

Mengjie Mao, Wujie Wen, Xiaoxiao Liu, Jingtong Hu, Danghui Wang, Yiran Chen, Hai Li

Proc. The 53rd IEEE/ACM Design Automation Conference (DAC 2016) , Austin, Texas, USA, June 2016.

 

Multi-Source in-Door Energy Harvesting for Non-Volatile Processors

Caiwen Ding, Soroush Heidari, Yanzhi Wang, Yongpan Liu, Jingtong Hu,

Proc. IEEE Int'l Symposium on Circuits & Systems (ISCAS 2016), Montreal, Canada, May 22-26, 2016.

 

Peak-to-average Pumping Efficiency Improvement for Charge Pump in Phase Change Memories

Huizhang Luo, Jingtong Hu, Liang Shi, Chun Jason Xue, Qingfeng Zhuge

Proc. The 21th IEEE Asia and South Pacific Design Automation Conference (ASP-DAC 2016), Macao, Jan., 2016.

 

Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration

Yuan Xue, Patrick Cronin, Chengmo Yang, Jingtong Hu

Proc. The 21th IEEE Asia and South Pacific Design Automation Conference (ASP-DAC 2016), Macao, Jan., 2016.

 

2015


Write Mode Aware Loop Tiling for High Performance Low Power Volatile PCM in Embedded Systems

Keni Qiu, Qingan Li, Jingtong Hu, Weigong Zhang, Chun Jason Xue

IEEE Transactions on Computers (TC), July 2015

 

Nonvolatile Main Memory Aware Garbage Collection in High-Level Language Virtual Machine

Chen Pan, Mimi Xie, Chengmo Yang, Zili Shao, Jingtong Hu

International Conference on Embedded Software (EMSOFT) in conjunction with (ESWEEK), Amsterdam, The Netherlands, Oct. 2015.

 

Fine-tuning CLB Placement to Speed up Reconfigurations in NVM-based FPGAs

Yuan Xue, Patrick Cronin, Chengmo Yang, Jingtong Hu

Proc. The International Conference on Field-programmable Logic and Applications (FPL 2015) , London, UK, Sept. 2015.

 

Fixing the Broken Time Machine: Consistency-Aware Checkpointing for Energy Harvesting Powered Non-Volatile Processor

Mimi Xie, Mengying Zhao, Chen Pan, Jingtong Hu, Yongpan Liu, Chun Jason Xue

Proc. The 52nd IEEE/ACM Design Automation Conference (DAC 2015) , San Francisco, California, USA, June 2015.

 

Compiler Directed Automatic Stack Trimming for Efficient Non-Volatile Processors

Qingan Li, Mengying Zhao, Jingtong Hu, Yongpan Liu, Yanxiang He, Chun Jason Xue

Proc. The 52nd IEEE/ACM Design Automation Conference (DAC 2015) , San Francisco, California, USA, June 2015.

 

Area and Performance Co-optimization for Domain Wall Memory in Application-specific Embedded Systems

Shouzhen Gu, Edwin H.-M. Sha, Qingfeng Zhuge, Yiran Chen, Jingtong Hu

Proc. The 52nd IEEE/ACM Design Automation Conference (DAC 2015) , San Francisco, California, USA, June 2015.

 

VWS: A Versatile Warp Scheduler for Exploring Diverse Cache Localities of GPGPU Applications

Mengjie Mao, Jingtong Hu, Yiran Chen, Hai Li

Proc. The 52nd IEEE/ACM Design Automation Conference (DAC 2015) , San Francisco, California, USA, June 2015.

 

FlexLevel: a Novel NAND Flash Storage System Design for LDPC Latency Reduction

Jie Guo, Wujie Wen, Jingtong Hu, Danghui Wang, Hai Li, Yiran Chen

Proc. The 52nd IEEE/ACM Design Automation Conference (DAC 2015) , San Francisco, California, USA, June 2015.

 

Software Assisted Non-volatile Register Reduction for Energy Harvesting Based Cyber-Physical System

Mengying Zhao, Qingan Li, Mimi Xie, Yongpan Liu, Jingtong Hu, Chun Jason Xue

Proc. The 18th ACM/IEEE Design, Automation and Test in Europe (DATE 2015) , Grenoble, France, March 2015.

 

Checkpoint Aware Instruction Scheduling for Prolonging the Lifetime of Nonvolatile Registers in Multiple Functional Unit Processors

Mimi Xie, Chen Pan, Jingtong Hu, Chengmo Yang, Yiran Chen

Proc. The 20th IEEE Asia and South Pacific Design Automation Conference (ASP-DAC 2015) , Chiba/Tokyo, Japan, Jan., 2015.

 

Unified Non-Volatile Memory and NAND Flash Memory Architecture in Smartphones

Renhai Chen, Yi Wang, Jingtong Hu, Duo Liu, Zili Shao, Yong Guan

Proc. The 20th IEEE Asia and South Pacific Design Automation Conference (ASP-DAC 2015) , Chiba/Tokyo, Japan, Jan., 2015.

 

Improving Performance and Lifetime of DRAM-PCM Hybrid Main Memory through a Proactive Page Allocation Strategy

H. Aghaeikhouzanin, Chengmo Yang, Jingtong Hu

Proc. The 20th IEEE Asia and South Pacific Design Automation Conference (ASP-DAC 2015) , Chiba/Tokyo, Japan, Jan., 2015.

 

2014


Optimizing Task and Data Assignment on Multi-core Systems with Multi-port SPMs

Shouzhen Gu, Qingfeng Zhuge, Juan Yi, Jingtong Hu, Edwin H.-M. Sha

IEEE Transactions on Parallel and Distributed Systems (TPDS), Aug. 2014

 

Low Overhead Software Wear-Leveling for Hybrid PCM+DRAM Main Memory on Embedded Systems

Jingtong Hu, Mimi Xie, Chen Pan, Chun Jason Xue, Qingfeng Zhuge, Edwin H.-M. Sha

IEEE Transactions on Very Large Scale Integration (VLSI) Systems, April 2014

 

3M-PCM: Exploiting Multiple Write Modes MLC Phase Change Main Memory in Embedded Systems

Chen Pan, Mimi Xie, Jingtong Hu, Yiran Chen, Chengmo Yang

Proc. The International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) in conjunction with (ESWEEK), New Delhi, India, Oct. 2014.

 

Wear-Leveling for PCM Main Memory on Embedded System via Page Management and Process Scheduling

Chen Pan, Mimi Xie, Jingtong Hu, Meikang Qiu, Qingfeng Zhuge

International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), Chongqing, China, Aug. 2014.

 

Non-volatile Registers Aware Instruction Selection and Register Reallocation for Embedded Systems

Mimi Xie, Chen Pan, Jingtong Hu, Chun Jason Xue, Qingfeng Zhuge

International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), Chongqing, China, Aug. 2014.

 

2013


Minimizing System Cost with Efficient Task Assignment on Heterogeneous Multicore Processors Considering Time Constraint

Jing Liu, Qingfeng Zhuge, Shouzhen Gu, Jingtong Hu, Guanyu Zhu, Edwin H.-M. Sha

IEEE Transactions on Parallel and Distributed Systems (TPDS), Nov. 2013.

 

Minimizing Accumulative Memory Load Cost on Multi-core DSPs with Multi-Level Memory

Jingtong Hu, Yi He, Qingfeng Zhuge, Edwin H.-M. Sha, Chun Jason Xue, Yingchao Zhao

Journal of Systems Architecture: Embedded Software Design (JSA), Aug. 2013.

 

A Space-Based Wear Leveling for PCM-Based Embedded Systems

Linbo Long, Duo Liu, Jingtong Hu, Shouzhen Gu, Qingfeng Zhuge, Edwin H.-M. Sha

IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA) , Taipei, Taiwan, Aug. 2013.

 

Efficient Task Assignment and Scheduling for MPSOC DSPS with VS-SPM Considering Concurrent Accesses Through Data Allocation

Shouzhen Gu, Qingfeng Zhuge, Jingtong Hu, Juan Yi, Edwin H.-M. Sha

Proc. The 38th International Conference on Acoustics, Speech, and Signal Processing (ICASSP) , Vancouver, Canada, May 2013.

 

Software Enabled Wear-Leveling for Hybrid PCM Main Memory on Embedded Systems

Jingtong Hu, Qingfeng Zhuge, Chun Jason Xue, Wei-Che Tseng, Edwin H.-M. Sha

Proc. The 16th ACM/IEEE Design, Automation and Test in Europe (DATE) , Grenoble, France, March 2013.

 

2012


Scheduling to Optimize Cache Utilization for Non-Volatile Main Memories

Jingtong Hu, Chun Jason Xue, Qingfeng Zhuge, Wei-Che Tseng, Edwin H.-M. Sha

IEEE Transactions on Computers (TC), Dec. 2012.

 

Optimal Data Placement and Duplication for Embedded Multi-Core Systems with Scratch Pad Memory

Yibo Guo, Qingfeng Zhuge, Jingtong Hu, Meikang Qiu, Edwin H.-M. Sha

IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems (TCAD), Dec. 2012.

 

Management and Optimization for Non-volatile Memory based Hybrid Scratchpad Memory on Multi-core Embedded Processors

Jingtong Hu, Qingfeng Zhuge, Chun Jason Xue, Wei-Che Tseng, Edwin H.-M. Sha

ACM Transactions on Embedded Computing Systems (TECS), Oct. 2012.

 

Data Allocation Optimization for Hybrid Scratch Pad Memory with SRAM and Non-volatile Memory

Jingtong Hu, Chun Jason Xue, Qingfeng Zhuge, Wei-Che Tseng, Edwin H.-M. Sha

IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), May 2012.

 

Minimizing Access Cost for Multiple Types of Memory Units in Embedded Systems through Data Allocation and Scheduling

Qingfeng Zhuge, Yibo Guo, Jingtong Hu, Wei-Che Tseng, Chun Jason Xue, Edwin H.-M. Sha

IEEE Transactions on Signal Processing (TSP), Feb. 2012.

 

Loop Scheduling Optimization for Chip-Multiprocessors with Non-Volatile Main Memory

Yan Wang, Jiayi Du, Jingtong Hu, Qingfeng Zhuge, Edwin H.-M. Sha

Proc. The 37th International Conference on Acoustics, Speech, and Signal Processing (ICASSP) , Kyoto, Japan, Mar. 2012.

 

PRR: A Low-Overhead Cache Replacement Algorithm for Embedded Processors

Wei-Che Tseng, Chun Jason Xue, Qingfeng Zhuge, Jingtong Hu, Edwin H.-M. Sha

Proc. The 17th IEEE Asia and South Pacific Design Automation Conference (ASP-DAC) , Sydney, Australia, Jan. 2012.

 

2011


Write Activity Reduction on Non-Volatile Main Memories for Embedded Chip Multi-Processors

Jingtong Hu, Chun Jason Xue, Qingfeng Zhuge, Wei-Che Tseng, Edwin H.-M. Sha

ACM Transactions on Embedded Computing Systems (TECS), 12(3) Mar. 2011

 

Write Activity Minimization for Non-volatile Main Memory via Scheduling and Recomputation

Jingtong Hu, Wei-Che Tseng, Chun Jason Xue, Qingfeng Zhuge, Yingchao Zhao, Edwin H.-M. Sha

IEEE Transactions on COMPUTER-AIDED DESIGN of Integrated Circuits and Systems (TCAD) , 30(4), 584-592, April 2011.

 

Optimal Data Allocation for Scratch-Pad Memory on Embedded Multi-core Systems

Yibo Guo, Qingfeng Zhuge, Jingtong Hu, Meikang Qiu, Edwin H.-M. Sha

Proc. The 40th International Conference on Parallel Processing (ICPP 2011) , Taipei, Taiwan, Sept. 2011.

 

Towards Energy Efficient Hybrid On-chip Scratch Pad Memory with Non-Volatile Memory

Jingtong Hu, Chun Jason Xue, Qingfeng Zhuge, Wei-Che Tseng, Edwin H.-M. Sha

Proc. The 14th ACM/IEEE Design, Automation and Test in Europe (DATE 2011) , Grenoble, France, March 2011.

 

2010


Iterational Retiming with Partitioning: Loop Scheduling with Complete Memory Latency Hiding 

Chun Jason Xue, Jingtong Hu, Zili Shao, Edwin H.-M. Sha

ACM Transactions on Embedded Computing System (TECS), 9(3), 1-26, Feb. 2010.

 

Minimizing Write Activities to Non-volatile Memory via Scheduling and Recomputation

Jingtong Hu, Chun Jason Xue, Wei-Che Tseng, Qingfeng Zhuge, Edwin H.-M. Sha

Proc. The 8th IEEE Symposium on Application Specific Processors (SASP 2010) , Anaheim, California, USA, June 2010.

 

Reducing Write Activities on Non-volatile Memories in Embedded CMPs via Data Migration and Recomputation

Jingtong Hu, Chun Jason Xue, Wei-Che Tseng, Yi He, Meikang Qiu, Edwin H.-M. Sha

Proc. The 47th IEEE/ACM Design Automation Conference (DAC 2010) , Anaheim, California, USA, June 2010.

 

2009


Optimizing Scheduling and Inter-cluster Connection for Application-Specific DSP Processors 

Qun Cathy Xu, Chun Jason Xue, Jingtong Hu, Edwin H.-M. Sha

IEEE Transactions on Signal Processing (TSP), 57(11), 4538-4547, Nov. 2009.

 

Minimizing Memory Access Schedule for Memories 

Jingtong Hu, Chun Jason Xue, Wei-Che Tseng, Meikang Qiu, Yingchao Zhao, Edwin H.-M. Sha

The Fifteenth International Conference on Parallel and Distributed Systems (ICPADS'09), Shenzhen, China, Dec. 8-11, 2009

 

Reprogramming with Minimal Transferred Data on Wireless Sensor Network 

Jingtong Hu, Chun Jason Xue, Yi He, Edwin H.-M. Sha

The 6th IEEE International Conference on Mobile Ad Hoc and Sensor Systems (MASS 2009), Macau SAR, P.R.C., Oct. 12-15, 2009

 

2008


Minimizing Transferred Data for Code Update on Wireless Sensor Network 

Jingtong Hu, Chun Jason Xue, Meikang Qiu, Wei-Che Tseng, Qun Cathy Xu, Lei Zhang, Edwin H.-M. Sha

Proc. International Conference on Wireless Algorithms, Systems and Applications (WASA08), Dallas, TX, Oct. 26-28, 2008

 

Loop Scheduling and Assignment to Minimize Energy while Hiding Latency for Heterogeneous Multi-Bank Memory 

Meikang Qiu, J. Wu, Chun Jason Xue, Jingtong Hu, Wei-Che Tseng, Edwin H.-M. Sha

The 18th IEEE International Conference on Field Programmable Logic and Applications (FPL 08), Heidelburg, German, Sep, Aug 2008.

 

Address Assignment Sensitive Variable Partitioning and Scheduling for DSPs with Multiple Memory Banks 

Chun Jason Xue, T. Liu, Zili Shao, Jingtong Hu, Z. Jia, W. Jia, Edwin H.-M. Sha

Proc. 2008 IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP 2008), Las Vegas, Nevada, USA, pp 1453-1456, March 30 - April 4, 2008.